ASML Holding

ASML Holding N.V.
Company typePublic
IndustrySemiconductor industry
Founded1984; 40 years ago (1984)
HeadquartersVeldhoven, Netherlands
Key people
ProductsPhotolithography systems for the semiconductor industry
RevenueIncrease 27.56 billion (2023)
Increase €9.042 billion (2023)
Increase €7.839 billion (2023)
Total assetsIncrease €39.96 billion (2023)
Total equityIncrease €13.45 billion (2023)
Number of employees
42,416 (2023)
Websiteasml.com

ASML Holding N.V. (commonly shortened to ASML, originally standing for Advanced Semiconductor Materials Lithography) is a Dutch multinational corporation founded in 1984. ASML specializes in the development and manufacturing of photolithography machines which are used to produce computer chips.

As of 2023 it is the largest supplier for the semiconductor industry and the sole supplier in the world of extreme ultraviolet lithography (EUV) photolithography machines that are required to manufacture the most advanced chips. As of March 2024, ASML was the most highly valued European tech company, with a market capitalization of about US$397 billion.

ASML's corporate headquarters is in Veldhoven, Netherlands and the location for research, development, manufacturing and assembly. ASML employs more than 39,000 people from 143 nationalities and relies on a network of nearly 5,000 tier 1 suppliers. ASML has a worldwide customer base and over sixty service points in sixteen countries. It has offices in the Netherlands, the United States, Belgium, France, Germany, Ireland, Israel, Italy, the United Kingdom, China, Hong Kong, Japan, South Korea, Malaysia, Singapore, and Taiwan.

The company is listed on both the AEX and NASDAQ Stock Exchanges, as ASML. It is also a component of the Euro Stoxx 50 and NASDAQ-100. As of 2023 ASML was the most highly valued European tech company, with a market capitalization of about US$270 billion.

Products

A diagonally cut ASML lens

ASML produces the photolithography machines used in the production of computer chips. In these machines, patterns are optically imaged onto a silicon wafer that is covered with a film of light-sensitive material (photoresist). This procedure is repeated dozens of times on a single wafer. The photoresist is then further processed to create the actual electronic circuits on the silicon. The optical imaging that ASML's machines deal with is used in the fabrication of nearly all integrated circuits and, as of 2011, ASML had 67 percent of the worldwide sales of lithography machines.

ASML's competition consisted of Ultratech, Canon and Nikon, MKS Instruments, Lam Research and Cadence Design Systems.[citation needed]

Immersion lithography

Since immersion lithography was first proposed by Burn-Jeng Lin in the 1970s, ASML cooperated with Taiwan Semiconductor Manufacturing (TSMC). In 2004, TSMC began commercial production of 90 nanometer semiconductor nodes using ASML immersion lithography. As of 2011, their high-end TWINSCAN NXT:1950i system was used for producing features down to 32 nanometres at up to 200 wafers per hour, using a water immersion lens and an argon fluoride laser that produces light at a wavelength of 193 nm. As of 2011, an average lithography machine cost 27 million.

DUV lithography

Deep ultraviolet (DUV) lithography devices from ASML use light that penetrates the UV spectrum to print the tiny features that form the microchip's structure.

In 2009, the IMEC research center in Belgium produced the world's first functional 22 nm CMOS Static random-access memory memory cells with a prototype EUV lithography machine. In 2011 series-produced (non-prototype) EUV machines were shipped.

EUV lithography

After decades of development, ASML shipped the first production extreme ultraviolet lithography machine in 2013. These machines produce light in the 13.5 nm wavelength range when a high-energy laser is focused on microscopic droplets of molten tin to produce a plasma, which then emits EUV light. The light is bounced off Zeiss mirrors onto the surface of a silicon wafer to deliver the designs for the chip. ASML's best-selling EUV product has been the TWINSCAN NXE:3600D, which costs up to $200 million. Shipping the machine the size of a truck requires moving 180 tons with three Boeing 747s. As of 2022, ASML has shipped around 140 EUV systems, and it is the only company to manufacture them.

ASML is working on the next generation of EUV systems, with the first shipments to customers for R&D purposes expected to take place at the end of 2023. The platform is designated High-NA as it will increase the numerical aperture (NA) from 0.33 to 0.55, and each system is expected to cost $300 million.

Nanoimprint lithography

In addition to immersion-based lithography and EUV lithography, ASML has a substantial intellectual property portfolio covering imprint lithography.

History

The company, originally named ASM Lithography, is named ASML as its official name and not an abbreviation. It was founded in 1984 as a joint venture between the Dutch companies ASM and Philips. Nowadays it is a public company. When the company became independent in 1988, it was decided that changing the name was not desirable, and the abbreviation ASML became the official company name.

ASML released the lithography system PAS 5500 in 1991, which became an extremely successful platform for the company. The PAS 5500 was first utilized by Micron Technology, which was one of the world's largest producers of computer memory and storage, and ASML's largest customer at that time. The success of the PAS 5500 line propelled ASML into strong competition with Canon and Nikon, who were the leaders in that era of the lithography market.

In 1997, ASML began studying a shift to using extreme ultraviolet and in 1999 joined a consortium including Intel, two other U.S. chipmakers, in order to exploit fundamental research conducted by the US Department of Energy. Because of the CRADA it operates under is funded by the US taxpayer, licensing must be approved by Congress. It collaborated with the Belgian Imec and Sematech and turned to Carl Zeiss in Germany for its need of mirrors.

In 2000, ASML acquired the Silicon Valley Group (SVG), a US lithography equipment manufacturer also licensed for EUV research results, in a bid to supply 193 nm scanners to Intel Corp.

In 2002, it became the largest supplier of photolithography systems.

At the end of 2008, ASML experienced a large drop in sales, which led management to cut the workforce by about 1000 worldwide, mostly contract workers and to apply for support from the Dutch national unemployment fund to prevent even larger layoffs. Two and a half years later, ASML expected a record-high revenue.

In July 2012, Intel announced a deal to invest $4.1 billion into ASML in exchange for 15% ownership, in order to speed up the transition from 300 mm to 450 mm wafers and further development of EUV lithography. This deal was without exclusive rights to future ASML products and, as of July 2012, ASML was offering another 10% of the shares to other companies. As part of their EUV strategy, ASML announced the acquisition of DUV and EUV sources manufacturer Cymer in October 2012.

In November 2013, ASML paused development of 450 mm lithography equipment, citing uncertain timing of chipmaker demand.

In 2015, ASML suffered intellectual property theft. A number of employees had been found stealing confidential data from its Silicon Valley software subsidiary that develops software for machine optimization.

In June 2016, ASML announced their plans to acquire Taiwan-based Hermes Microvision Inc. for about $3.1 billion to add technology for creating smaller and more advanced semiconductors.

In 2018, the Trump administration tried to block the sale of ASML technology to China, but as of 2021, the 2020–present global chip shortage as well as the "technological cold war" between the US and China has been a business opportunity for ASML.

In November 2020, ASML revealed that it had acquired the German optical glassmaking firm Berliner Glas Group in order to meet increasing need for components for its EUV systems.

In July 2021, Thierry Breton European Commissioner, visited ASML and announced a goal of at least 20% of world production of semiconductors in Europe by 2030, and support via a European Alliance on semiconductors. After reporting earnings in July 2021, the company said they had a near monopoly for machines used by TSMC and Samsung Electronics to make the advanced chips.

In February 2023, ASML claimed that a former worker in China stole information about the company's technology. This wasn't the first time that ASML was allegedly linked with an intellectual property breach connected to China, and this latest breach came in the midst of the US-China trade war, which is also called a "chip war". At the time, the United States Department of Commerce expressed concern about economic espionage against ASML. In October 2023, Dutch newspaper NRC Handelsblad reported that the former employee who stole data about ASML's technology subsequently went to work for Huawei.

In March 2023, the Dutch government placed restrictions on chip exports in order to protect national security. This measure affected ASML as one of the most important companies in the global microchip supply chain. Export license requirements came into effect in September 2023.

In June 2023, the Netherlands' Institute for Human Rights ruled that despite the country's constitution prohibiting discrimination based on nationality, ASML was allowed to reject job applications from residents of countries subject to sanctions under the U.S. Export Administration Regulations (such as Cuba, Iran, North Korea, and Syria) in order to remain compliant with U.S. law.

In January 2024, the Dutch government placed further restrictions on the shipment of some advanced chip-making equipment to China.

Finances

Financial data in € millions
Year 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022 2023
Revenue 5 245 5 856 6 287 6 795 9 053 10 944 11 820 13 979 18 611 21 173 27 559
Net Income 1 016 1 197 1 387 1 472 2 119 2 592 2 592 3 554 5 883 5 624 7 839
Assets 11 514 12 204 13 295 17 206 18 196 20 137 22 630 27 267 30 231 36 300 39 958
Employees 10 360 11 318 12 168 13 991 16 219 20 044 23 219 26 614 29 861 36 112 42 416

Shareholder

Top Institutional Holders
Holder Shares Date Reported % Out Value
Price (T. Rowe) Associates Inc 10,991,878 29 June 2022 2.70% 5,152,552,838
Capital World Investors 6,492,254 29 June 2022 1.60% 3,043,309,048
Fisher Asset Management, LLC 4,595,741 29 June 2022 1.13% 2,154,299,596
Capital International Investors 3,919,239 29 June 2022 0.96% 1,837,182,511
Morgan Stanley 3,156,574 29 June 2022 0.78% 1,479,675,659
WCM Investment Management, LLC 3,089,503 29 September 2022 0.76% 1,448,235,456
Edgewood Management Company 2,919,498 29 June 2022 0.72% 1,368,543,910
State Farm Mutual Automobile Insurance Co 2,834,225 29 June 2022 0.70% 1,328,571,338
FMR, LLC 2,763,695 29 June 2022 0.68% 1,295,509,695
Sands Capital Management, LLC 1,942,740 29 June 2022 0.48% 910,678,821
Top Mutual Fund Holders
Holder Shares Date Reported % Out Value
American Balanced Fund 2,523,702 29 September 2022 0.62% 1,183,010,574
Growth Fund Of America Inc 2,407,395 29 September 2022 0.59% 1,128,490,503
iShares Core MSCI EAFE ETF 2,138,919 29 September 2022 0.53% 1,002,639,691
Washington Mutual Investors Fund 2,138,040 29 September 2022 0.53% 1,002,227,651
Advisors Inner Circle Fund-Edgewood Growth Fd 1,623,694 29 June 2022 0.40% 761,122,815
Price (T.Rowe) Growth Stock Fund Inc. 1,627,216 29 June 2022 0.40% 762,773,788
iShares MSCI Eafe ETF 1,319,874 29 September 2022 0.32% 618,704,149
Invesco ETF Tr-Invesco QQQ Tr, Series 1 ETF 1,264,161 29 September 2022 0.31% 592,588,122
New Perspective Fund Inc 1,217,878 29 September 2022 0.30% 570,892,503
Investment Managers Ser Tr-WCM Focused International Growth Fd 1,197,952 30 July 2022 0.29% 561,551,991

Awards

  • The IEEE Spectrum Emerging Technology 2018 Award was given to ASML for its Extreme Ultraviolet Lithography system. ASML is currently the top supplier of photolithography systems to the semiconductor industry.
  • In the category for Popular Prize, Vadim Banine received the 2018 European Inventor Award for shaping the future of microchip manufacturing.
  • The largest independent microelectronics research center in Europe is called IMEC (Interuniversity Micro Electronics Centre). Martin van den Brink of ASML was given the 2019 IMEC Lifetime of Innovation Award.
  • The Netherlands Association for Investor Relations (NEVIR), where listed companies and professionals in investor relations had the chance to be recognized for their outstanding work in the sector, presented awards to ASML in the categories: "Best Company in the field of Investor Relations" during the Dutch IR Awards 2019 annual award ceremony.
  • ASML received the SEMI Americas Award at the 2020 edition of the microelectronics conference SEMICON West for its collaborative approach to extreme ultraviolet lithography (EUV), which helped it become commercially viable and opened the door to new technological possibilities.
  • The Intel Preferred Quality Supplier (PQS) Award for 2020 was awarded to ASML. ASML has attained a level of performance that continuously surpasses Intel's expectations for the commitment to continual quality improvement.
  • The Dutch Innovation Prize 2021 was awarded to ASML at the 4th National BID AVROTROS Innovation Dinner held at Kasteel Wittenburg in Wassenaar.
  • ASML received the first CoSta Award for the most successful and impactful innovative partnership between a corporate company and a startup.

This page was last updated at 2024-03-25 06:44 UTC. Update now. View original page.

All our content comes from Wikipedia and under the Creative Commons Attribution-ShareAlike License.


Top

If mathematical, chemical, physical and other formulas are not displayed correctly on this page, please useFirefox or Safari